New export ban to China hits ASML's DUV lithography chip manufacturing tools

New export ban to China hits ASML’s DUV lithography chip manufacturing tools

technology By Jan 03, 2024 No Comments

New Export Ban to china Hits ASML’s DUV Lithography Chip Manufacturing Tools

The world of technology and geopolitics intersect yet again as the Dutch corporation ASML, the largest supplier of manufacturing tools for the semiconductor industry and the most highly valued European tech company in terms of market capitalization (valued at $280 billion), faces new export restrictions to china.

License Revocation Impact

In recent months, the Dutch government partially revoked the 2023 shipment license affecting ASML’s NXT:2050i and NXT:2100i lithography systems, thus impacting a “small number” of the company’s customers in china. With this move, ASML will no longer be able to ship these cutting-edge lithography systems to china.

Furthermore, the company disclosed that this license revocation is not expected to have a material impact on its financial outlook for 2023 despite the significance of the development. ASML’s machines utilize technologies developed in the US, rendering them subject to both US and Dutch export restrictions.

Regulations and Restrictions

Washington’s recent clarification regarding the scope and impact of the US export control regulations has highlighted the complex web of geopolitical and technological tensions that ASML finds itself entangled in. Published on October 17, 2023, the latest export rules impose additional restrictions on certain “mid-critical” DUV immersion lithography machines, utilized in a “limited number” of advanced production facilities.

technology Insights

The deep ultraviolet (DUV) lithography machinery developed by ASML incorporates advanced technology utilizing light within the ultraviolet segment of the electromagnetic spectrum to create the intricate Features constituting a microchip’s structure.

The company’s Twinscan NXT:2050i and NXT:2100i lithography systems stand out as its most advanced DUV tools, capable of supporting 7nm or even 5nm-class process technology with multi-patterning, exhibiting a processing capacity of up to 295 wafers per hour, and suitable for Manufacturing both logic and memory chips.

Customer Implications

Notably, these new restrictions have ramifications for ASML’s primary customer, the Chinese foundry SMIC, which is reliant on DUV lithography for its 7nm-class process technology and now faces limitations in acquiring additional machines. This could potentially impact key players such as Huawei, who utilize SMIC’s 7nm node.

Compliance and Commitment

In response to these developments, ASML has reiterated its commitment to complying with all applicable laws and regulations, including the recent export control legislation enacted by Western governments. The company’s active stance amidst these challenges is indicative of the complex landscape it navigates.

As geopolitical and technological dynamics continue to evolve, the impact of these export restrictions on ASML and its customers remains a complex and nuanced issue with far-reaching implications.

Source: techspot

No Comments

Leave a comment

Your email address will not be published. Required fields are marked *